Hier finden Sie das NEWSLETTER Archiv Mehr ...
ASYS PPS Vaisala Becker

reinraum online
Deutsch   English



Imec treibt die Single-Exposure-Patterning-Fähigkeit von 0,33NA EUVL bis an ihre Grenzen

Nachgewiesene Korrelation zwischen morphologischen und elektrischen Daten auf 28-nm-Pitch-Linien/-Spaces erhöht das Verständnis der Auswirkungen stochastischer Defekte auf die Zuverlässigkeit/Ausbeute der Bauelemente

28nm Pitch Single-Exposure-Strukturierung mit dem MOx-Prozess von Inpria auf einem 0,33NA EUV-Vollfeldscanner nach Ru-Metallisierung. / 28nm pitch single-exposure patterning using Inpria’s MOx process on a 0.33NA EUV full field scanner after Ru metallization.
28nm Pitch Single-Exposure-Strukturierung mit dem MOx-Prozess von Inpria auf einem 0,33NA EUV-Vollfeldscanner nach Ru-Metallisierung. / 28nm pitch single-exposure patterning using Inpria’s MOx process on a 0.33NA EUV full field scanner after Ru metallization.
24nm Pitch-Linien/Abstände, erzielt auf einem 0,33NA NXE:3400B Vollfeldscanner, (links) nach dem Entwickeln und (rechts) nach dem Ätzen auf der kritischen Zielgröße (CD) (uLER = unbiased line-edge roughness). / 24nm pitch line/spaces obtained on a 0.33NA NXE:3400B full field scanner, (left) after developing and (right) after etching on target critical dimension (CD) (uLER = unbiased line-edge roughness).
24nm Pitch-Linien/Abstände, erzielt auf einem 0,33NA NXE:3400B Vollfeldscanner, (links) nach dem Entwickeln und (rechts) nach dem Ätzen auf der kritischen Zielgröße (CD) (uLER = unbiased line-edge roughness). / 24nm pitch line/spaces obtained on a 0.33NA NXE:3400B full field scanner, (left) after developing and (right) after etching on target critical dimension (CD) (uLER = unbiased line-edge roughness).
28nm Kontaktlöcher, erzielt mit einem 0,33NA NXE:3400 Vollfeldscanner, nach dem Entwickeln. / 28nm contact holes obtained on a 0.33NA NXE:3400 full field scanner, after developing.
28nm Kontaktlöcher, erzielt mit einem 0,33NA NXE:3400 Vollfeldscanner, nach dem Entwickeln. / 28nm contact holes obtained on a 0.33NA NXE:3400 full field scanner, after developing.

Diese Woche präsentieren imec, ein weltweit führendes Forschungs- und Innovationszentrum für Nanoelektronik und digitale Technologien, und ASML, der weltweit führende Hersteller von Halbleiterlithografieanlagen, auf der SPIE Advanced Lithography Conference 2021 mehrere Vorträge, die die ultimative Fähigkeit der 0,33NA NXE:3400 Extrem-Ultraviolett-Lithografie (EUVL) zur Strukturierung in einer einzigen Belichtung demonstrieren. Prozessoptimierungen haben die Strukturierung von dichten 28nm Pitch-Linien/-Spaces mit einem Inpria-Metalloxid-Resist in einer einzigen Belichtung ermöglicht, was für die Großserienfertigung relevant ist. Zum ersten Mal wurden optische und E-Beam-Inspektionen mit elektrischen Daten korreliert, um weitere Erkenntnisse zur Verbesserung der stochastischen Fehlerquote - d.h. sowohl Brüche als auch Brücken - zu gewinnen. Darüber hinaus haben Source-Optimierungen dazu geführt, dass mit dem aktuellen NXE:3400-Scanner der kleinstmögliche Pitch erzeugt werden konnte (d.h. 24nm Pitch-Linien/-Spaces und 28nm Pitch-Kontaktlöcher), was eine frühe Materialentwicklung ermöglicht, wie sie für High-NA EUV-Lithographie-Scanner erforderlich ist.

Die Extrem-Ultraviolett-Lithografie hat einen kritischen Entscheidungspunkt erreicht, an dem man zum EUV-Multi-Patterning übergehen kann, um die dichtesten Merkmale der nächsten Generation von ICs zu drucken, oder die Single-Print-Fähigkeit auf den heutigen 0,33NA-Vollfeldscannern weiter vorantreiben kann. "Während Multi-Patterning-Techniken einfachere Pitches bieten würden, ermöglicht Single-Patterning einen enormen Kostenvorteil und einfachere Prozessschemata", sagt Kurt Ronse, Advanced Patterning Program Director bei imec. "Imec und ASML haben die Möglichkeit der Single-Exposure-Strukturierung mit 28nm Pitch demonstriert, was den kritischen Back-End-of-Line-Metallschichten eines 5nm-Technologieknotens entspricht. Dies bringt den NXE:3400-Scanner sehr nahe an seine Auflösungsgrenze für die Großserienfertigung." Die Ergebnisse wurden mit dem Metall-Oxid (MOx) Resist-Prozess von Inpria erzielt.

Um die Erkenntnisse über stochastische Strukturierungsfehler zu erweitern, wurden die mit Rasterelektronenmikroskopie, Breitbandplasma- und Elektronenstrahltechnologien gewonnenen Defektinspektionsdaten erfolgreich mit den aus elektrischen Messungen gewonnenen Daten korreliert. Die elektrischen Tests wurden an großflächigen, mit Ruthenium metallisierten Schlangenlinienstrukturen durchgeführt, die es erlaubten, elektrische Öffnungen (und damit Brücken im Resist) zu messen, sowie an metallisierten Gabel- und Tip-to-Tip-Strukturen, die es erlaubten, elektrische Kurzschlüsse (und damit kritische Brüche im Resist) zu messen. Die komplementären elektrischen Messungen zeigen nicht nur eine gute Korrelation, sondern ermöglichen auch die Erfassung wichtiger Trends über mehrere Prozessänderungen hinweg, die zur Abschwächung stochastischer Ausfälle beim Belichten beitragen können (Papiere Nr. 11609-26; 11611-21).

Die Erweiterbarkeit der 0,33NA EUV-Lithographie auf Pitch 28nm resultierte aus der Co-Optimierung der verschiedenen am Strukturierungsprozess beteiligten Komponenten, einschließlich Maskenvorlagen, Beleuchtungssettings, Metall-Oxid-Resist und Ätzprozessen. Zum Beispiel wurde gezeigt, dass die Vorteile der Verwendung von Hellfeld-Maskentonalität und kontrollierten Linsenaberrationen die Printability bei kleinem Pitch und kritischen Abmessungen erheblich verbessern (Papiere Nr. 11609-27; 11609-29).

Neben der Verschiebung der Grenzen der EUVL-Einfachbelichtung für die Großserienfertigung haben imec und ASML die 0,33NA NXE:3400 auf ihre äußerste Auflösung gebracht mit dem Ziel, sie als Plattform für die frühe Materialentwicklung für die High-NA EUVL-Tools zu nutzen. Steven Scheer, VP Advanced Patterning Process and Materials bei imec: "Imec und ASML haben kürzlich auch gezeigt, dass das Tool in der Lage ist, Linien/Flächen mit 24nm Pitch und Kontaktlöcher mit 28nm Pitch zu drucken - letzteres durch Optimierung der Pupillen- und Abbildungsbedingungen und durch die Verwendung von doppelten Linien/Flächen-Belichtungen mit einer kombinierten Dosis von 45mJ/cm2". "Der Pattern-Transfer konnte erfolgreich auf sehr dünnen Resists demonstriert werden, die für High-NA EUV relevant sind", sagt Andrew Grenville, CEO von Inpria. "Dies bietet dem imec-Ökosystem für die Strukturierung die Möglichkeit, Resist-, Mess- und Ätzprozesse zu entwickeln, um die Einführung der nächsten Generation von EUVL-Systemen, d.h. des High-NA EXE:5000, zu beschleunigen." Scheer fügte hinzu: "Diese Entwicklungen werden die Erkenntnisse ergänzen, die derzeit aus dem AttoLab, dem Labor für Attosekunden-Analyse und Interferenzlithographie von imec, gewonnen werden, von dem erwartet wird, dass es eine High-NA-Resist-Abbildungsfähigkeit bietet, um Strukturen bis hinunter zu Pitches von 8nm zu erzeugen."


IMEC Belgium
3001 Leuven
Belgien


Besser informiert: Mit JAHRBUCH, NEWSLETTER, NEWSFLASH und EXPERTEN VERZEICHNIS

Bleiben Sie auf dem Laufenden und abonnieren Sie unseren monatlichen eMail-NEWSLETTER und unseren NEWSFLASH. Lassen Sie sich zusätzlich mit unserem gedruckten JAHRBUCH darüber informieren, was in der Welt der Reinräume passiert. Und erfahren Sie mit unserem Verzeichnis, wer die EXPERTEN im Reinraum sind.

PMS Hydroflex HJM ClearClean